Web - Amazon

We provide Linux to the World


We support WINRAR [What is this] - [Download .exe file(s) for Windows]

CLASSICISTRANIERI HOME PAGE - YOUTUBE CHANNEL
SITEMAP
Audiobooks by Valerio Di Stefano: Single Download - Complete Download [TAR] [WIM] [ZIP] [RAR] - Alphabetical Download  [TAR] [WIM] [ZIP] [RAR] - Download Instructions

Make a donation: IBAN: IT36M0708677020000000008016 - BIC/SWIFT:  ICRAITRRU60 - VALERIO DI STEFANO or
Privacy Policy Cookie Policy Terms and Conditions
VHDL - Wikipedia, den fria encyklopedin

VHDL

Wikipedia

VHDL VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. Att VHDL är ett hårdvarubeskrivande språk betyder att det liksom Verilog är ett slags programspråk som används för att beskriva digitala kretsar som sedan kan realiseras och hamna på ett chip. En stor skillnad mellan hårdvarubeskrivande språk och konventionella programspråk är att exekvering av programblock kan ske parallellt eller sekventiellt.

Fördelen med att konstruera kretsar med hjälp av ett språk är att det är lättare att simulera och sedan realisera än att räkna på allting för hand. Det är också lättare att beskriva en funktion i ett chip i stället för dess logiska struktur och låta en kompilator räkna fram ett optimalt grindnät.

VHDL lånar många element i sin syntax från Ada.

Innehåll

[redigera] Historia

VHDL utvecklades 1980 av IBM, Texas Instrument och Intermetrics kontrakterade av det amerikanska försvaret. VHDL har kommit ut i ett antal nya versioner. Idag vidareutvecklas programspråket under IEEE Computer Society som en IEEE standard. VHDL Analysis and Standards Group (http://www.eda.org/vasg/ [VASG]) håller i den utvecklingen.

[redigera] Programexempel

[redigera] D-vippa

Följande exempel är en D-vippa med synkroniserad reset som sparar en data bit:

--  VHDL exempel program: DFlipFlop.vhd
  library IEEE;
  use IEEE.std_logic_1164.all;
  entity DFlipFlop is
     port (
        CLK : in STD_LOGIC;
        RST : in STD_LOGIC;
          D : in STD_LOGIC;
          Q : out STD_LOGIC;
     );
  end DFlipFlop;

  architecture behaviour of DFlipFlop is
  begin
      
      process(CLK)
      begin
         if rising_edge(CLK) then
              if RST = '1' then
                 Q <= '0';
              else
                 Q <= D;
              end if;
         end if;             
      end process;

  end behaviour;

[redigera] Se även

[redigera] Extern länk

Den här artikeln är hämtad från http://sv.wikipedia.org../../../v/h/d/VHDL_01eb.html
Our "Network":

Project Gutenberg
https://gutenberg.classicistranieri.com

Encyclopaedia Britannica 1911
https://encyclopaediabritannica.classicistranieri.com

Librivox Audiobooks
https://librivox.classicistranieri.com

Linux Distributions
https://old.classicistranieri.com

Magnatune (MP3 Music)
https://magnatune.classicistranieri.com

Static Wikipedia (June 2008)
https://wikipedia.classicistranieri.com

Static Wikipedia (March 2008)
https://wikipedia2007.classicistranieri.com/mar2008/

Static Wikipedia (2007)
https://wikipedia2007.classicistranieri.com

Static Wikipedia (2006)
https://wikipedia2006.classicistranieri.com

Liber Liber
https://liberliber.classicistranieri.com

ZIM Files for Kiwix
https://zim.classicistranieri.com


Other Websites:

Bach - Goldberg Variations
https://www.goldbergvariations.org

Lazarillo de Tormes
https://www.lazarillodetormes.org

Madame Bovary
https://www.madamebovary.org

Il Fu Mattia Pascal
https://www.mattiapascal.it

The Voice in the Desert
https://www.thevoiceinthedesert.org

Confessione d'un amore fascista
https://www.amorefascista.it

Malinverno
https://www.malinverno.org

Debito formativo
https://www.debitoformativo.it

Adina Spire
https://www.adinaspire.com